March 29, 2024 UMD Home FabLab AIMLab
Gottlieb Oehrlein Professor
Materials Science and Engineering
Contact Info
Email: oehrlein@eng.umd.edu
Phone: 301-405-8931
Office: 2119 Chemical and Nuclear Engineering Building
Department Page
Group Site
Personal Page
Gottlieb Oehrlein
show more
News

Search results for: Oehrlein

Ten Maryland MSE Faculty Members Ranked in Top 2% of World Scientists
Elsevier releases updated science-wide database  More »

10 Maryland MSE Faculty Members Ranked in Top 2% of World Scientists
Report prepared by a team of experts at Stanford University.  More »

UMD Graduate Student Kang-Yi Lin Receives 2019 ALD/ALE Award
Lin was honored at the 2019 ALD/ALE International Conference this summer.  More »

MSE Professor Recognized by AVS and Dry Process Symposium
Gottlieb Oehrlein bestowed the John Thornton Memorial Award and the DPS Nishizawa Award.  More »

Reza Ghodssi named AVS Fellow
Citation reads: "For outstanding leadership in microsystems technology achieved by combining knowledge of materials and processing, innovative device concepts, and diverse applications."  More »

Rubloff One of 9 Finalists for UMD Invention of the Year
Office of Technology Commercialization to announce winners at the Celebration of Innovation and Partnerships, April 29.  More »

Oehrlein Receives IBM Faculty Award
Nano research recognized  More »

Influence of Polymer Structure on Plasma-Polymer Interactions in Resist Materials
Award-winning UMD graduate outlines research  More »

Oehrlein Honored for Plasma Process Research
Nanocenter member awarded AVS prize  More »

Oehrlein, Phaneuf, and Collaborators Win NSF NIRT for Plasma Processing of Nanostructured Polymers
Nanocenter members and Professors Gottlieb Oehrlein and Ray Phaneuf of MSE were awarded a NSF-Nanoscale Interdisciplinary Research Team grant ($1.2M) for a proposal to investigate "Nanotechnological Manufacturing: Nanostructured Polymers Designed for Plasma/Energetic Beam Templating of Materials".  More »

 

10 article(s) found.

 

show more
Publication List
  • 1. Poly(2-vinylnaphthalene)-block-poly(acrylic acid) Block Copolymer: Self-Assembled Pattern Formation, Alignment, and Transfer into Silicon via Plasma Etching
    Xin Zhang, Christopher J. Metting, Robert M. Briber, Florian Weilnboeck, Sang Hak Shin, Benjamin G. Jones, Gottlieb S. Oehrlein
    Macromolecular Chemistry and Physics, 2011-06
    doi: 10.1002/macp.201100232
  • 2. Controlling Asymmetric Photoresist Feature Dimensions during Plasma-Assisted Shrink
    Nick Fox-Lyon, Dominik Metzler, Gottlieb S. Oehrlein, David Farber, Tom Lii
    Plasma Processes and Polymers, 2014-05, 11 (7), pp.714-720
    doi: 10.1002/ppap.201400035
  • 3. Real time characterization of polymer surface modifications by an atmospheric-pressure plasma jet: Electrically coupled versus remote mode
    A. J. Knoll, P. Luan, E. A. J. Bartis, C. Hart, Y. Raitses, G. S. Oehrlein
    Applied Physics Letters, -0001-11, 105 (17), pp.171601
    doi: 10.1063/1.4900551
  • 4. Isotope effects on plasma species of Ar/H2/D2 plasmas
    Nick Fox-Lyon, Gottlieb S. Oehrlein
    Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, -0001-11, 32 (4), pp.041206
    doi: 10.1116/1.4889858
  • 5. Effect of surface derived hydrocarbon impurities on Ar plasma properties
    Nick Fox-Lyon, Gottlieb S. Oehrlein, Valery Godyak
    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, -0001-11, 32 (3), pp.030601
    doi: 10.1116/1.4867158
  • 6. Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma
    Dominik Metzler, Robert L. Bruce, Sebastian Engelmann, Eric A. Joseph, Gottlieb S. Oehrlein
    Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, -0001-11, 32 (2), pp.020603
    doi: 10.1116/1.4843575
  • 7. Feasibility of atomic layer etching of polymer material based on sequential O2 exposure and Ar low-pressure plasma-etching
    Evelina Vogli, Dominik Metzler, Gottlieb S. Oehrlein
    Applied Physics Letters, -0001-11, 102 (25), pp.253105
    doi: 10.1063/1.4812750
  • 8. Plasma Deactivation of Endotoxic Biomolecules: Vacuum Ultraviolet Photon and Radical Beam Effects on Lipid A
    Ting-Ying Chung, Ning Ning, Jhih-Wei Chu, David B. Graves, Elliot Bartis, Joonil Seog, Gottlieb S. Oehrlein
    Plasma Processes and Polymers, -0001-11, 10 (2), pp.167-180
    doi: 10.1002/ppap.201200087
  • 9. Differences in erosion mechanism and selectivity between Ti and TiN in fluorocarbon plasmas for dielectric etch
    Florian Weilnboeck, Elliot Bartis, Sivan Shachar, Gottlieb S. Oehrlein, David Farber, Tom Lii, Chet Lenox
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 30 (4), pp.041811
    doi: 10.1116/1.4736979
  • 10. Direct and quantitative evidence for buckling instability as a mechanism for roughening of polymer during plasma etching
    T.-C. Lin, R. L. Bruce, G. S. Oehrlein, R. J. Phaneuf, H.-C. Kan
    Applied Physics Letters, -0001-11, 100 (23), pp.233113
    doi: 10.1063/1.4718940
  • 11. Real-time measurements of plasma photoresist modifications: The role of plasma vacuum ultraviolet radiation and ions
    F. Weilnboeck, N. Kumar, G. S. Oehrlein, T.-Y. Chung, D. Graves, M. Li, E. A. Hudson, E. C. Benck
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 30 (3), pp.031807
    doi: 10.1116/1.3697752
  • 12. Study of Ti etching and selectivity mechanism in fluorocarbon plasmas for dielectric etch
    F. Weilnboeck, E. Bartis, S. Shachar, G. S. Oehrlein, D. Farber, T. Lii, C. Lenox
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 30 (2), pp.021804
    doi: 10.1116/1.3690643
  • 13. Characterization and mechanism of He plasma pretreatment of nanoscale polymer masks for improved pattern transfer fidelity
    F. Weilnboeck, D. Metzler, N. Kumar, G. S. Oehrlein, R. L. Bruce, S. Engelmann, N. Fuller
    Applied Physics Letters, -0001-11, 99 (26), pp.261501
    doi: 10.1063/1.3671995
  • 14. Ion and Vacuum Ultraviolet Photon Beam Effects in 193 nm Photoresist Surface Roughening: The Role of the Adamantyl Pendant Group
    Ting-Ying Chung, David B. Graves, Florian Weilnboeck, Robert L. Bruce, Gottlieb S. Oehrlein, Mingqi Li, Eric A. Hudson
    Plasma Processes and Polymers, -0001-11, 8 (11), pp.1068-1079
    doi: 10.1002/ppap.201100071
  • 15. Hydrogenation and surface density changes in hydrocarbon films during erosion using Ar/H2 plasmas
    N. Fox-Lyon, G. S. Oehrlein, N. Ning, D. B. Graves
    Journal of Applied Physics, -0001-11, 110 (10), pp.104314
    doi: 10.1063/1.3662953
  • 16. On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists
    R. L. Bruce, F. Weilnboeck, T. Lin, R. J. Phaneuf, G. S. Oehrlein, B. K. Long, C. G. Willson, A. Alizadeh
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 29 (4), pp.041604
    doi: 10.1116/1.3607604
  • 17. Surface and near-surface modifications of ultralow dielectric constant materials exposed to plasmas under sidewall-like conditions
    Ming-Shu Kuo, G. S. Oehrlein
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 28 (6), pp.1104
    doi: 10.1116/1.3499271
  • 18. Mechanistic study of ultralow k-compatible carbon dioxide in situ photoresist ashing processes. II. Interaction with preceding fluorocarbon plasma ultralow k etching processes
    Ming-Shu Kuo, A. R. Pal, G. S. Oehrlein, Xuefeng Hua
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 28 (5), pp.961
    doi: 10.1116/1.3482353
  • 19. Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry
    F. Weilnboeck, R. L. Bruce, S. Engelmann, G. S. Oehrlein, D. Nest, T.-Y. Chung, D. Graves, M. Li, D. Wang, C. Andes, E. A. Hudson
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 28 (5), pp.993
    doi: 10.1116/1.3484249
  • 20. Stages in the interaction of deuterium atoms with amorphous hydrogenated carbon films: Isotope exchange, soft-layer formation, and steady-state erosion
    G. S. Oehrlein, T. Schwarz-Selinger, K. Schmid, M. Schlüter, W. Jacob
    Journal of Applied Physics, -0001-11, 108 (4), pp.043307
    doi: 10.1063/1.3474988
  • 21. Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma
    R. L. Bruce, T. Lin, R. J. Phaneuf, G. S. Oehrlein, W. Bell, B. Long, C. G. Willson
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 28 (4), pp.751
    doi: 10.1116/1.3455496
  • 22. Relationship between nanoscale roughness and ion-damaged layer in argon plasma exposed polystyrene films
    R. L. Bruce, F. Weilnboeck, T. Lin, R. J. Phaneuf, G. S. Oehrlein, B. K. Long, C. G. Willson, J. J. Vegh, D. Nest, D. B. Graves
    Journal of Applied Physics, -0001-11, 107 (8), pp.084310
    doi: 10.1063/1.3373587
  • 23. Influence of C[sub 4]F[sub 8]/Ar-based etching and H[sub 2]-based remote plasma ashing processes on ultralow k materials modifications
    Ming-Shu Kuo, Xuefeng Hua, G. S. Oehrlein, A. Ali, P. Jiang, P. Lazzeri, M. Anderle
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 28 (2), pp.284
    doi: 10.1116/1.3308623
  • 24. Real-time and post-plasma studies of influence of low levels of tungsten on carbon erosion and surface evolution behaviour in D2plasma
    F. Weilnboeck, N. Fox-Lyon, G.S. Oehrlein, R.P. Doerner
    Nuclear Fusion, -0001-11, 50 (2), pp.025027
    doi: 10.1088/0029-5515/50/2/025027
  • 25. Understanding the Roughening and Degradation of 193 nm Photoresist during Plasma Processing: Synergistic Roles of Vacuum Ultraviolet Radiation and Ion Bombardment
    Dustin Nest, Ting-Ying Chung, David B. Graves, Sebastian Engelmann, Robert L. Bruce, Florian Weilnboeck, Gottlieb S. Oehrlein, Deyan Wang, Cecily Andes, Eric A. Hudson
    Plasma Processes and Polymers, -0001-11, 6 (10), pp.649-657
    doi: 10.1002/ppap.200900039
  • 26. Dependence of Polymer Surface Roughening Rate on Deposited Energy Density During Plasma Processing
    Sebastian Engelmann, Robert L. Bruce, Florian Weilnboeck, Gottlieb S. Oehrlein, Dustin Nest, David B. Graves, Cecily Andes, Eric A. Hudson
    Plasma Processes and Polymers, -0001-11, 6 (8), pp.484-489
    doi: 10.1002/ppap.200900004
  • 27. Low-Temperature Plasma-Assisted Nanotransfer Printing between Thermoplastic Polymers
    Deuk Yeon Lee, Daniel R. Hines, Christopher M. Stafford, Christopher L. Soles, Eric K. Lin, Gottlieb S. Oehrlein
    Advanced Materials, -0001-11, 21 (24), pp.2524-2529
    doi: 10.1002/adma.200803121
  • 28. Dependence of photoresist surface modifications during plasma-based pattern transfer on choice of feedgas composition: Comparison of C[sub 4]F[sub 8]- and CF[sub 4]-based discharges
    S. Engelmann, R. L. Bruce, F. Weilnboeck, M. Sumiya, T. Kwon, R. Phaneuf, G. S. Oehrlein, C. Andes, D. Graves, D. Nest, E. A. Hudson
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 27 (3), pp.1165
    doi: 10.1116/1.3137012
  • 29. Study of ion and vacuum ultraviolet-induced effects on styrene- and ester-based polymers exposed to argon plasma
    R. L. Bruce, S. Engelmann, T. Lin, T. Kwon, R. J. Phaneuf, G. S. Oehrlein, B. K. Long, C. G. Willson, J. J. Végh, D. Nest, D. B. Graves, A. Alizadeh
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 27 (3), pp.1142
    doi: 10.1116/1.3136864
  • 30. Real-time studies of surface roughness development and reticulation mechanism of advanced photoresist materials during plasma processing
    A. R. Pal, R. L. Bruce, F. Weilnboeck, S. Engelmann, T. Lin, M.-S. Kuo, R. Phaneuf, G. S. Oehrlein
    Journal of Applied Physics, -0001-11, 105 (1), pp.013311
    doi: 10.1063/1.3055268
  • 31. Plasma-surface interactions of advanced photoresists with C[sub 4]F[sub 8]∕Ar discharges: Plasma parameter dependencies
    S. Engelmann, R. L. Bruce, M. Sumiya, T. Kwon, R. Phaneuf, G. S. Oehrlein, C. Andes, D. Graves, D. Nest, E. A. Hudson
    Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, -0001-11, 27 (1), pp.92
    doi: 10.1116/1.3054342
  • 32. Polystyrene as a model system to probe the impact of ambient gas chemistry on polymer surface modifications using remote atmospheric pressure plasma under well-controlled conditions
    Elliot A. J. Bartis, Pingshan Luan, Andrew J. Knoll, Connor Hart, Joonil Seog, Gottlieb S. Oehrlein
    Biointerphases, 2015-04, 10 (2), pp.029512
    doi: 10.1116/1.4919410
  • 33. Polymer etching by atmospheric-pressure plasma jet and surface micro-discharge sources: Activation energy analysis and etching directionality
    Andrew J. Knoll, Pingshan Luan, Adam Pranda, Robert L. Bruce, Gottlieb S. Oehrlein
    Plasma Processes and Polymers, 2018-03, (), pp.e1700217
    doi: 10.1002/ppap.201700217
  • 34. Thin films for high-resolution, 3-color lithography
    Martha I. Sanchez, Eric M. Panning, Sandra A. Gutierrez, John T. Fourkas, Gottlieb S. Oehrlein, Amy S. Mullin, Daniel E. Falvey, Samuel R. Cohen, John S. Petersen, Steven Wolf, Hannah M. Ogden, Adam Pranda, Nikolaos Liaros
    , 2018-03, (), pp.43
    doi: 10.1117/12.2299681
  • 35. The state of the art in multicolor visible photolithography
    Martha I. Sanchez, Eric M. Panning, John S. Petersen, Gottlieb S. Oehrlein, Adam Pranda, Amy S. Mullin, Hannah M. Ogden, Daniel E. Falvey, Matthew Thum, Steven M. Wolf, Samuel R. Cohen, Sandra A. Gutierrez Razo, Zuleykhan Tomova, Nikolaos Liaros, John T. Fourkas
    , 2018-03, (), pp.6
    doi: 10.1117/12.2297653
  • 36. Oxygen effects in thin films for high-resolution , 3-color lithography
    Sandra A. Gutierrez Razo, Nikolaos Liaros, Adam Pranda, Gottlieb Oehrlein, John T. Fourkas, John Petersen, Eric M. Panning, Martha I. Sanchez
    SPIE Advanced Lithography Preceedings, 2019-03, (), pp.39
    doi: 10.1117/12.2516544
Back to Top

Colleges A. James Clark School of Engineering
The College of Computer, Mathematical, and Natural Sciences

Communicate Join Email List
Contact Us
Follow us on TwitterTwitter logo

Links Privacy Policy
Sitemap
RSS

Copyright The University of Maryland University of Maryland
2004-2024